Wednesday, July 25, 2012

Is Europe ready for 450mm fabs?

Friends, it has been extremely difficult for me to stay away from my blog! ;) Not to speak of the thousands of requests! ;)

Well, I've been chatting up with Malcolm Penn, chairman and CEO, Future Horizons, lately, on the 450mm fab! In fact, at the IFS2012-MT mid-term semiconductor industry forecast seminar, he proclaimed that 450mm presented a unique opportunity for Europe!

First, 450mm will allow Europe's indigenous chip firms to catch up their lost leadership position in advanced CMOS manufacturing, and place them at the forefront of technology in 'More Moore' (MM) and 'More Than Moore' (MtM). Embracing 450mm will ensure a clear migration path for all future silicon-based chip processing into the foreseeable future. Should Europe's indigenous chip firms choose to ignore the 450mm paradigm shift, focusing instead on just MtM, and not MM, they will end up in a technology dead end!

Europe's MtM expertise will get slowly cannibalised by more advanced technology-based firms looking to re-use their depreciated (n-1) MM platforms and shrinking remaining markets squeezed by ever-increasing over-crowding amongst their similar technology peers. Embracing MtM without MM will undermine Europe's long-term KET aspirations and advanced manufacturing needs by 2025. By the way, a 450mm fab is already in TSMC's roadmap!

The chip industry's growth is driven by the economy, which is currently weak due to complete loss of confidence, as well as unit demand, fab capacity, which is very tight at the leading technology edge, and ASPs.

At IFS2012 in January, Future Horizons had said that +8 per cent is a safe bet for the global semiconductor industry. The updated outlook for 2012, from Future Horizons, for the global semiconductor industry is +4 per cent! As we all know, the chip fundamentals wait for no man or crisis! The year 2012 has been one of the unresolved Euro crisis. The chip market will likely rebound once business confidence returns!

As SEMI puts it: the key to the implementation of 450mm wafer production will be the ability of key subsystem and component suppliers to support leading tool makers with critical enabling products and technologies vital to 450 pilot lines and high volume production. The role of the the Global 450 Consortium (G450C) will be watched and followed with great interest.

The key question: Should Europe make a move for 450mm fabs? What happens to the existing 300mm fabs? Do let me know your thoughts, friends!

P.S.: By the way, what is the Indian semiconductor industry doing?

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.