Friday, November 1, 2013

SEMICON Europa 2013: Where does Europe stand in 450mm path?

SEMICON Europa was recently held in Dresden, Germany on Oct. 8-10, 2013. I am extremely grateful to Malcolm Penn, chairman and CEO, Future Horizons for sharing this with me.

SEMICON Europa included a supplier exhibition where quite a few 450mm wafers were on display. One highlight was a working 450mm FOUP load/unload mechanism, albeit from a Japanese manufacturer. These exhibits did illustrate though that 450mm is for real and no longer a paper exercise.

There was also a day-long conference dedicated to 450mm in the largest room. This was crowded throughout the time and a large number of papers were given.

Paul Farrar of G450C began with a presentation about Supply Chain Collaboration for 450mm. His key message was there are 25 different tools delivered to G450C of which 15 are installed in the NFN cleanroom. This number will grow to 42 onsite and 19 offsite by Q1 2015.

He stated that Nikon aims to have a working 193i litho machine in 2H 2014 and install one in Albany in 1H 2015. Farrar also reported a great improvement in wafer quality which now exceed the expected M76 specification, and prime wafers to the M1 spec should be available in Q3 2014. There has also been good progress on wafer reclaim and it is hoped some wafers can be reused up to 10 times, although at least three is the target.

Metrology seems to be one of the most advanced areas with eight different machines already operational. The number of 450mm wafers in their inventory now stands at over 10,000 with these moving between the partners more rapidly. It was immediately noticeable from Farrar's speech that G450C is now recognising the major contribution Europe is making to 450mm and is looking for more collaborations.

Facilities part of F450C
Peter Csatary of M&W then dealt with the facilities part of G450C, known as F450C. This group consists of:
• M&W (co-ordination)
• Edwards
• Swagelok
• Mega Fluid Systems
• Ovivo
• CH2MHILL
• Haws Corporation
• Air Liquide
• Ceres Technlogies
• CS Clean Systems

F450C is seen as streamlining communications with the semiconductor companies and their process tool suppliers. The group will focus on four key areas, namely Environmental Footprint, Facility Interface Requirements, Cost and Duration, and Safety and Sustainability.

One interesting point raised was that 450mm equipment is inherently more massive and one suggestion has been that ceiling mounted cranes will be required to install and remove equipment. This of course means that fab roofs would need to be stronger than previously. This topic was discussed at the latest F450C meeting subsequent to this conference.

Another new concept is that of a few standardised 3D templates and adapter plates to allow fab services to be pre-installed before the equipment is placed.

An interesting point made elsewhere by M&W is that the current preference is to place a fab where there are already other fabs in existence so that the infrastructure to transport products, materials and services is already in place, as are basic utilities such as power, natural gas and water supply.

However, the scale of the expected utility demand at 450 mm ups the stakes as for example a large 300 mm facility uses about 4 million gallons of water per day, whereas a 450 mm fab will use almost double that, putting immense strain on a location's infrastructure should there be other fabs in the region. This could affect future site selections.

An outcome of this phenomenon is that the reduction, reclaim and re-use of materials will no longer be driven only by the desire to be a good corporate citizen, but will also be driven by cost control and to ensure availability of required resources such as power, water, specialty gases and chemicals.

Bas van Nooten followed with an update on EEMI450 and Global Co-operation. Menachem Shoval gave a speech on Metro450, the Israeli consortium whose members have about one-third of the world market for metrology. He emphasised that metrology is no longer an inconvenient and expensive ‘add-on’ but a must that needs to be built into process flows from the beginning.

He gave some examples of the performance and costs required to meet industry needs and how the group aims to meet them such as with a new low cost stage with a non-linear servo controller which benefits all.

Another issue the group can work on is how many samples are actually needed in production and adaptive sampling is seen as the way forward here. Finally, the computing needs have grown massively and Metro450 is trying to specify a standard compute platform for metrology software.

Growing semicon market fuels need for 450mm fabs
Steve Johnston of Intel emphasised that Intel still sees a growing market for the state-of-the-art semiconductors and hence, the need for 450mm fabs, and saw collaboration as greatly assisting meeting that demand. Unfortunately no information relating to the current D1X second module construction slated for Intel’s 450mm pilot line plans was disclosed.

Jochen Kinauer of AIS then spoke on the 450mm cluster within Silicon Saxony. Despite the known feelings of the German federal government, Silicon Saxony is keen on 450mm and would like to be seen as a possible location for Europe’s first 450mm production fab. He highlighted the recent MoU with Leuven and the visit to G450C. Finally he outlined some work on 450mm being performed by Entegris, HAP, Ortner, HSEB, AIS Automation and SYSTEMA both on EC funded projects and elsewhere.

Khalil Rouhana outlined the current thinking on nanoelectronics at the European Commission. The importance of this area and of manufacturing is now well understood and the support for R&D is well placed, with the importance of Cambridge beginning to be recognised though still not at the level those in the UK have hoped the new rules for ECSEL would enable. The current 450mm projects were mentioned but there was no new thinking on how to encourage a fab to be built in Europe.

This was followed by Andreas Wild of ENIAC who showed the gap Europe has at 300mm and some of the plans to fill this and thus hope such technology will transition to 450mm. He also presented some excellent figures on the cost of R&D support under ENIAC and that planned under ECSEL, and some of the welcome changes to the rules so as to avoid companies being forced to non-participate if they cannot get support from their local PA.

Denis Rousset of CATRENE demonstrated a good understanding that 450mm technology is key to Europe’s future in semiconductors and that both test beds and pilot lines are crucial to this development.

Malcolm Penn of Future Horizons supported the 450mm rollout, pointing out that customers with applications are already there for 450mm wafers and urging the European Commission to financially support the building of 450mm fabs in Europe before it is too late. Uwe Kreibisch of EVG covered progress of the SOI450 project, which has recently achieved the first fully automated 450mm wafer bond, and that the resultant wafers are of good quality.

Alain Jarre of RECIF highlighted that for SMEs collaboration is key as they cannot be in multiple places at the same time, and that a global collaboration is essential to ensure all details are covered enabling 450mm to be rolled out. An excellent example of this was reached at the event between G450C and EEMI450 to align the Demonstration Test Methods between G450C and the distributed Imec pilot line so that test reports will be shared between both organization. This will obviously save a huge amount of time.

Lode Lauwers of Imec underlined the opportunities for ever smaller semiconductor geometries developed under Imec’s CMOS, memory and 3D programmes. Progress on EUV was briefly covered followed by an outline of the Imec 450mm pilot line. Some 3D CAD images were given of the cleanroom due to open in Q4 2015 but nothing was labelled, but the timelines were very detailed on when Imec expect to begin each task, all dependent though on the 2H 2015 installation of a 450mm EUV scanner.

Olaf Kievet of TNO looked at the effect contamination will have on the geometries likely to be fabbed on 450mm wafers and some of the programmes they are working on to improve yields. Markus Pfeffer of Fraunhofer covered the Semiconductor Equipment Assessment (SEA) programme co-ordinated by Fraunhofer and how it is being extended to 450mm in the SEA4KET programme.

Michael Liehr of University of Albany looked at the 450mm transition and some of the other improvements in circuits that need to be achieved to make best use of the new wafer size. A key statement he made was “There are many opportunities to engage CNSE/G450C on 450mm relevant problems”.

Little industry support for transition?
Frank Bornebroek of ASML noted that concerns remain that there is too little industry support for the transition. Regarding ASML’s 450mm design strategy, he stated that for 450mm Immersion tools there is re-use of 33 percent of parts from 300mm immersion, whilst
for 450mm EUV tools there is 74 percent of commonality of parts with 300mm EUV. The redesign focuses mainly on the larger wafer stage and the wafer handling section.

Jorgen Lundgren of ENTEGRIS looked at the use of advanced polymers to improve the design of FOUPs. Michael Abraham of Rudolph Technologies dealt with surface, edge and backside
inspection of 450mm wafers.

Thomas Dreyer of AIS Automation discussed how to best integrate equipment into 450mm fabs, covering in detail Idle/Green modes and also the huge amount of data that will be created and how this can be used for predictive maintenance. Juergen Niess of HQ-Dielectrics talked about low temperature plasma oxidisation being essential to processing modern semiconductor structures.

Finally Kirk Hasserjian of AMAT gave a speech on the Challenges in the Transition to 450mm. He saw this being defined by three vectors – When, Who and What, and also by another three – Macro Economics, Technical Complexity and Transition Economics. On Macro Economics he saw no reason for silicon demand not to carry on expanding at its current rate. Technical Complexity needed to be addressed but no actual roadblocks, whilst also offering more opportunities for standardisation than occurred at 300mm.

Transition Economics was harder though with only three to five customers and this being the first transition not driven by memory, but solely by logic (i.e. Intel) and Foundry. Finally, he stated his 450mm Transition Requirements as:
• Timeline Synchronisation – customer timelines need to be synchronised to maximise R&D efficiency.
• R&D Funding – consortia, government and IC maker funding needed to share cost and risks.
• Standardisation – further standardisation needed across the industry to lower costs.
• Process Performance – pilot tool performance needs to meet the 7/5nm node requirements for a successful transition.

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.